Jump to content
  • Intel has placed an order for the next-gen High-NA EUV tools to fabricate 1.8nm chips


    Karlston

    • 484 views
    • 2 minutes
     Share


    • 484 views
    • 2 minutes

    With the ongoing chip shortage that will take a couple of more years to recover from, Intel is making itself clear that it has understood the importance of manufacturing high-quality processors. Its rivals Samsung and TSMC were the first to adopt extreme ultraviolet (EUV) lithography technology. Now, Intel is making sure that it is the first to adopt the second generation of the EUV tools by ASML featuring a 0.55 NA (high-NA) that provides higher resolution and productivity. Intel, along with ASML, has announced that Team Blue has placed the order for the industry's first High-NA tool.

    ASML President and CTO Martin van den Brink said:

     

    “Intel’s vision and early commitment to ASML’s High-NA EUV technology is proof of its relentless pursuit of Moore’s Law. Compared to the current EUV systems, our innovative extended EUV roadmap delivers continued lithographic improvements at reduced complexity, cost, cycle time and energy that the chip industry needs to drive affordable scaling well into the next decade."

     

    Intel plans to start high-volume manufacturing (HVM) in 2025, which is also when the company will be using its 18A (1.8nm) fabrication technology. To do so, Intel has been experimenting for quite a while when it first obtained ASML's Twinscan EXE:5000, which was the industry's first EUV scanner with a 0.55 numerical aperture. Today, the company ordered ASML's next-generation High-NA tool, the Twinscan EXE:5200.

     

    1642609868_obdyyxcgcb7qapwwrvpps5-970-80

    Photo: ASML

    The new tools are important for a higher resolution that enables the manufacturing of smaller transistors with higher transistor density. The High-NA scanners not only gave a completely different optics design but also promise to officer significantly faster reticle and wafer stages and higher productivity.

     

    "Intel's focus is to stay at the forefront of semiconductor lithography technology and we’ve been building our EUV expertise and capacity over the last year," said Dr. Ann Kelleher, executive vice president and general manager of Technology Development at Intel. "Working closely with ASML, we will harness High-NA EUV's high-resolution patterning as one of the ways we continue Moore's Law and maintain our strong history of progression down to the smallest of geometries."

     

     

    Intel has placed an order for the next-gen High-NA EUV tools to fabricate 1.8nm chips


    User Feedback

    Recommended Comments

    There are no comments to display.



    Join the conversation

    You can post now and register later. If you have an account, sign in now to post with your account.
    Note: Your post will require moderator approval before it will be visible.

    Guest
    Add a comment...

    ×   Pasted as rich text.   Paste as plain text instead

      Only 75 emoji are allowed.

    ×   Your link has been automatically embedded.   Display as a link instead

    ×   Your previous content has been restored.   Clear editor

    ×   You cannot paste images directly. Upload or insert images from URL.


  • Recently Browsing   0 members

    • No registered users viewing this page.
×
×
  • Create New...